Domain-specific language


A domain-specific language (DSL) or domain-specific language in software development and domain engineering is a programming language or specific language dedicated to particular domain problems, a particular technique of representation and / or a particular technical solution. Examples of DSL include Children's Logo, Verilog and VHSIC Hardware Description Language, R and S Languages ​​for Statistics, Mata for Matrix Programming, Mathematica and Maxima for Mathematical Symbols, Spreadsheet Formats, and Macros. SQL for relational database queries, YACC grammars for parser creation, regular expressions for specific lexical analyzers, Generic Eclipse Modeling System to create diagram languages, Csound, a digital synthesis language and input languages ​​GraphViz and GrGen . Voices correlateemodify wikitesto

wiki